Open Source Integrated Circuit Design Tools in Scientific Research: Yay or Nay?

10th International Scientific Conference Technics, Informatics and Education – TIE 2024 , str. 48-52

АУТОР(И) / AUTHOR(S): Mihailo Knežević , Lidija Paunović

Download Full Pdf  

DOI: 10.46793/TIE24.048K

САЖЕТАК /ABSTRACT:

The design of integrated circuits (IC) has a significant impact on the development of modern world technologies. The subject of this research is the examination of the potential application of open source tools for integrated circuit design in scientific research. This paper analyzes some open source tools. The research results show that the application of these tools has advantages and disadvantages as well, but most importantly, in the context of science that it is feasible and even recommended. Open source tools for integrated circuit design create positive outcome of the financial feasibility of scientific research activities, collaboration, and the advancement of scientific research. The paper also presents possible future research in this area.

КЉУЧНЕ РЕЧИ / KEYWORDS: 

ic design; open source tools; VLSI; research; academia

ПРОЈЕКАТ / ACKNOWLEDGEMENTS:

This study was supported by the Ministry of Science, Technological Development and Innovation of the Republic of Serbia, and these results are parts of the Grant No. 451-03-66/2024-03/200132 with University of Kragujevac -Faculty of Technical Sciences Čačak.

ЛИТЕРАТУРА / REFERENCES:

  1. Massaro, A. (2021). Electronics in advanced research industries: Industry 4.0 to Industry 5.0 Advances. John Wiley & Sons.
  2. Murray, A. (2013). Integrated circuit design. Springer.
  3. Efnusheva, D., Kjosev, J., & Raleva, K. (2013). Exploring the use of Cadence IC in Education. ELECTRONICS, 17(2), 89-94.
  4. Hamari, P. M. (2015). Incorporating synopsys CAD tools in teaching VLSI design. In 2005 ASEE Zone III Conference, Portland, Oregon.
  5. Schneider, T. K., Schwab, A. J., & Aylor, J. H. (1993). A full custom VLSI design methodology using Mentor Graphics design software in an educational environs. In Sixth Annual IEEE International ASIC Conference and Exhibit(pp. 305-308). IEEE.
  6. Ghazy, A., & Shalan, M. (2020). Openlane: The open-source digital asic implementation flow. In  Workshop on Open-Source EDA Technol.(WOSET).
  7. Jin, L., Liu, C., & Anan, M. (2010). Open-source VLSI CAD tools: a comparative study. In Anais: American Society for Engineering Education–2010 Illinois–Indiana Section Conference, West Lafayette.
  8. Kougianos, E., Mohanty, S. P., & Patra, P. (2010). Digital nano-CMOS VLSI design courses in electrical and computer engineering through open-source/free tools. In 2010 International Symposium on Electronic System Design(pp. 265-270). IEEE.
  9. http://opencircuitdesign.com/irsim/index.html
  10. Salz, A., & Horowitz, M. (1989). IRSIM: An incremental MOS switch-level simulator. In Proceedings of the 26th ACM/IEEE design automation conference(pp. 173-178)
  11. http://opencircuitdesign.com/magic/index.html
  12. https://www.synopsys.com/glossary/what-is-layout-versus-schematic-checking.html
  13. http://opencircuitdesign.com/netgen/tutorial/tutorial.html
  14. http://opencircuitdesign.com/qflow/index.html